Files
EN1-TP-Complet/decodeur_4to7.circ
2025-05-28 08:58:26 +02:00

2606 lines
97 KiB
XML

<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.13.22" version="1.0">
This file is intended to be loaded by Logisim-evolution (https://github.com/reds-heig/logisim-evolution).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2">
<tool name="Multiplexer">
<a name="enable" val="false"/>
</tool>
<tool name="Demultiplexer">
<a name="enable" val="false"/>
</tool>
</lib>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#HDL-IP" name="6">
<tool name="VHDL Entity">
<a name="content">--------------------------------------------------------------------------------&#13;
-- HEIG-VD, institute REDS, 1400 Yverdon-les-Bains&#13;
-- Project :&#13;
-- File :&#13;
-- Autor :&#13;
-- Date :&#13;
--&#13;
--------------------------------------------------------------------------------&#13;
-- Description :&#13;
--&#13;
--------------------------------------------------------------------------------&#13;
&#13;
library ieee;&#13;
use ieee.std_logic_1164.all;&#13;
--use ieee.numeric_std.all;&#13;
&#13;
entity VHDL_Component is&#13;
port(&#13;
------------------------------------------------------------------------------&#13;
--Insert input ports below&#13;
horloge_i : in std_logic; -- input bit example&#13;
val_i : in std_logic_vector(3 downto 0); -- input vector example&#13;
------------------------------------------------------------------------------&#13;
--Insert output ports below&#13;
max_o : out std_logic; -- output bit example&#13;
cpt_o : out std_logic_Vector(3 downto 0) -- output vector example&#13;
);&#13;
end VHDL_Component;&#13;
&#13;
--------------------------------------------------------------------------------&#13;
--Complete your VHDL description below&#13;
architecture type_architecture of VHDL_Component is&#13;
&#13;
&#13;
begin&#13;
&#13;
&#13;
end type_architecture;&#13;
</a>
</tool>
</lib>
<lib desc="#TCL" name="7">
<tool name="TclGeneric">
<a name="content">library ieee;&#13;
use ieee.std_logic_1164.all;&#13;
&#13;
entity TCL_Generic is&#13;
port(&#13;
--Insert input ports below&#13;
horloge_i : in std_logic; -- input bit example&#13;
val_i : in std_logic_vector(3 downto 0); -- input vector example&#13;
&#13;
--Insert output ports below&#13;
max_o : out std_logic; -- output bit example&#13;
cpt_o : out std_logic_Vector(3 downto 0) -- output vector example&#13;
);&#13;
end TCL_Generic;&#13;
</a>
</tool>
</lib>
<lib desc="#Base" name="8">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<lib desc="#BFH-Praktika" name="9"/>
<main name="BN_to_BCD"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
<a name="tickmain" val="half_period"/>
</options>
<mappings>
<tool lib="8" map="Button2" name="Menu Tool"/>
<tool lib="8" map="Button3" name="Menu Tool"/>
<tool lib="8" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="8" name="Poke Tool"/>
<tool lib="8" name="Edit Tool"/>
<tool lib="8" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin"/>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<comp loc="(480,270)" name="BN_to_BCD"/>
</circuit>
<circuit name="BN_to_BCD">
<a name="circuit" val="BN_to_BCD"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(310,510)" to="(360,510)"/>
<wire from="(310,430)" to="(360,430)"/>
<wire from="(360,740)" to="(410,740)"/>
<wire from="(360,260)" to="(360,280)"/>
<wire from="(360,320)" to="(360,340)"/>
<wire from="(400,700)" to="(400,720)"/>
<wire from="(400,760)" to="(400,780)"/>
<wire from="(430,490)" to="(430,510)"/>
<wire from="(290,1020)" to="(400,1020)"/>
<wire from="(430,550)" to="(430,580)"/>
<wire from="(400,1020)" to="(500,1020)"/>
<wire from="(400,1060)" to="(500,1060)"/>
<wire from="(390,840)" to="(430,840)"/>
<wire from="(390,920)" to="(430,920)"/>
<wire from="(110,250)" to="(140,250)"/>
<wire from="(110,190)" to="(140,190)"/>
<wire from="(480,360)" to="(510,360)"/>
<wire from="(480,880)" to="(510,880)"/>
<wire from="(310,340)" to="(330,340)"/>
<wire from="(410,580)" to="(430,580)"/>
<wire from="(400,980)" to="(400,1020)"/>
<wire from="(400,1020)" to="(400,1060)"/>
<wire from="(400,720)" to="(410,720)"/>
<wire from="(400,760)" to="(410,760)"/>
<wire from="(310,470)" to="(320,470)"/>
<wire from="(310,390)" to="(320,390)"/>
<wire from="(320,740)" to="(330,740)"/>
<wire from="(320,780)" to="(330,780)"/>
<wire from="(350,470)" to="(360,470)"/>
<wire from="(350,390)" to="(360,390)"/>
<wire from="(320,700)" to="(400,700)"/>
<wire from="(310,300)" to="(380,300)"/>
<wire from="(310,560)" to="(360,560)"/>
<wire from="(310,260)" to="(360,260)"/>
<wire from="(310,600)" to="(360,600)"/>
<wire from="(290,1020)" to="(290,1040)"/>
<wire from="(430,840)" to="(430,860)"/>
<wire from="(430,900)" to="(430,920)"/>
<wire from="(430,380)" to="(430,410)"/>
<wire from="(360,780)" to="(400,780)"/>
<wire from="(400,980)" to="(490,980)"/>
<wire from="(110,220)" to="(140,220)"/>
<wire from="(110,160)" to="(140,160)"/>
<wire from="(480,530)" to="(510,530)"/>
<wire from="(320,820)" to="(340,820)"/>
<wire from="(320,860)" to="(340,860)"/>
<wire from="(320,900)" to="(340,900)"/>
<wire from="(320,940)" to="(340,940)"/>
<wire from="(360,280)" to="(380,280)"/>
<wire from="(360,320)" to="(380,320)"/>
<wire from="(410,490)" to="(430,490)"/>
<wire from="(410,410)" to="(430,410)"/>
<wire from="(460,740)" to="(480,740)"/>
<wire from="(430,300)" to="(430,340)"/>
<wire from="(300,150)" to="(380,150)"/>
<comp lib="1" loc="(360,780)" name="NOT Gate"/>
<comp lib="1" loc="(480,360)" name="OR Gate"/>
<comp lib="1" loc="(410,410)" name="AND Gate"/>
<comp lib="0" loc="(510,880)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="BCD4"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(410,580)" name="AND Gate"/>
<comp lib="0" loc="(310,600)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="B"/>
</comp>
<comp lib="0" loc="(310,470)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="A"/>
</comp>
<comp lib="0" loc="(310,390)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="A"/>
</comp>
<comp lib="1" loc="(360,740)" name="NOT Gate"/>
<comp lib="0" loc="(310,560)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(320,940)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(310,510)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="B"/>
</comp>
<comp lib="0" loc="(320,740)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="B"/>
</comp>
<comp lib="0" loc="(320,780)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="1" loc="(480,530)" name="OR Gate"/>
<comp lib="0" loc="(110,160)" name="Pin">
<a name="label" val="BN3"/>
</comp>
<comp lib="0" loc="(290,1040)" name="Ground"/>
<comp lib="1" loc="(460,740)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(320,820)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="A"/>
</comp>
<comp lib="1" loc="(480,880)" name="OR Gate"/>
<comp lib="0" loc="(500,1060)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="BCD7"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(320,900)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="A"/>
</comp>
<comp lib="1" loc="(410,490)" name="AND Gate"/>
<comp lib="1" loc="(430,300)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(490,980)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="BCD5"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(390,840)" name="AND Gate"/>
<comp lib="0" loc="(300,150)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="D"/>
</comp>
<comp lib="0" loc="(310,260)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="A"/>
</comp>
<comp lib="0" loc="(140,160)" name="Tunnel">
<a name="label" val="A"/>
</comp>
<comp lib="0" loc="(140,250)" name="Tunnel">
<a name="label" val="D"/>
</comp>
<comp lib="0" loc="(480,740)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="BCD3"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(110,190)" name="Pin">
<a name="label" val="BN2"/>
</comp>
<comp lib="0" loc="(320,860)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="B"/>
</comp>
<comp lib="1" loc="(350,390)" name="NOT Gate"/>
<comp lib="0" loc="(380,150)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="BCD0"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(500,1020)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="BCD6"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(320,700)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="A"/>
</comp>
<comp lib="0" loc="(140,190)" name="Tunnel">
<a name="label" val="B"/>
</comp>
<comp lib="1" loc="(390,920)" name="AND Gate"/>
<comp lib="0" loc="(110,250)" name="Pin">
<a name="label" val="BN0"/>
</comp>
<comp lib="0" loc="(310,430)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(110,220)" name="Pin">
<a name="label" val="BN1"/>
</comp>
<comp lib="1" loc="(360,340)" name="NOT Gate"/>
<comp lib="0" loc="(140,220)" name="Tunnel">
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(510,360)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="BCD1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(310,340)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="C"/>
</comp>
<comp lib="0" loc="(310,300)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="B"/>
</comp>
<comp lib="0" loc="(510,530)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="BCD2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(350,470)" name="NOT Gate"/>
</circuit>
<circuit name="test_BN_to_BCD">
<a name="circuit" val="test_BN_to_BCD"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(890,430)" to="(890,440)"/>
<wire from="(900,440)" to="(900,450)"/>
<wire from="(880,360)" to="(880,370)"/>
<wire from="(560,460)" to="(560,590)"/>
<wire from="(1060,340)" to="(1060,370)"/>
<wire from="(330,340)" to="(440,340)"/>
<wire from="(830,430)" to="(830,450)"/>
<wire from="(890,350)" to="(890,370)"/>
<wire from="(340,360)" to="(440,360)"/>
<wire from="(930,350)" to="(930,370)"/>
<wire from="(1010,430)" to="(1010,450)"/>
<wire from="(360,400)" to="(360,430)"/>
<wire from="(330,340)" to="(330,430)"/>
<wire from="(860,450)" to="(880,450)"/>
<wire from="(980,430)" to="(1000,430)"/>
<wire from="(350,380)" to="(440,380)"/>
<wire from="(550,440)" to="(570,440)"/>
<wire from="(970,370)" to="(1000,370)"/>
<wire from="(1030,370)" to="(1060,370)"/>
<wire from="(1020,440)" to="(1050,440)"/>
<wire from="(440,360)" to="(450,360)"/>
<wire from="(560,590)" to="(630,590)"/>
<wire from="(360,400)" to="(440,400)"/>
<wire from="(550,610)" to="(630,610)"/>
<wire from="(1000,340)" to="(1010,340)"/>
<wire from="(830,350)" to="(840,350)"/>
<wire from="(340,360)" to="(340,430)"/>
<wire from="(1030,340)" to="(1030,350)"/>
<wire from="(1020,430)" to="(1020,440)"/>
<wire from="(860,350)" to="(860,360)"/>
<wire from="(550,480)" to="(550,610)"/>
<wire from="(570,440)" to="(570,570)"/>
<wire from="(630,420)" to="(630,550)"/>
<wire from="(570,570)" to="(630,570)"/>
<wire from="(970,340)" to="(970,370)"/>
<wire from="(1010,340)" to="(1010,370)"/>
<wire from="(830,430)" to="(870,430)"/>
<wire from="(840,350)" to="(840,370)"/>
<wire from="(880,430)" to="(880,450)"/>
<wire from="(1050,440)" to="(1050,450)"/>
<wire from="(1020,350)" to="(1020,370)"/>
<wire from="(980,430)" to="(980,450)"/>
<wire from="(860,360)" to="(880,360)"/>
<wire from="(840,370)" to="(870,370)"/>
<wire from="(900,370)" to="(930,370)"/>
<wire from="(350,380)" to="(350,430)"/>
<wire from="(550,340)" to="(630,340)"/>
<wire from="(550,360)" to="(630,360)"/>
<wire from="(550,400)" to="(630,400)"/>
<wire from="(550,420)" to="(630,420)"/>
<wire from="(550,380)" to="(630,380)"/>
<wire from="(1020,350)" to="(1030,350)"/>
<wire from="(890,440)" to="(900,440)"/>
<wire from="(550,460)" to="(560,460)"/>
<wire from="(630,380)" to="(640,380)"/>
<comp lib="0" loc="(980,450)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Be"/>
</comp>
<comp lib="0" loc="(900,450)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Ac"/>
</comp>
<comp lib="0" loc="(760,360)" name="Tunnel">
<a name="label" val="Bb"/>
</comp>
<comp lib="0" loc="(760,340)" name="Tunnel">
<a name="label" val="Ba"/>
</comp>
<comp lib="0" loc="(760,440)" name="Tunnel">
<a name="label" val="Bf"/>
</comp>
<comp lib="0" loc="(760,550)" name="Tunnel">
<a name="label" val="Aa"/>
</comp>
<comp lib="0" loc="(760,670)" name="Tunnel">
<a name="label" val="Ag"/>
</comp>
<comp lib="0" loc="(760,400)" name="Tunnel">
<a name="label" val="Bd"/>
</comp>
<comp loc="(760,550)" name="decodeur_4to7"/>
<comp lib="0" loc="(760,590)" name="Tunnel">
<a name="label" val="Ac"/>
</comp>
<comp loc="(550,340)" name="BN_to_BCD"/>
<comp lib="0" loc="(1000,340)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Bf"/>
</comp>
<comp lib="0" loc="(860,350)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Af"/>
</comp>
<comp lib="0" loc="(1060,340)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Bb"/>
</comp>
<comp lib="0" loc="(970,340)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Bg"/>
</comp>
<comp lib="0" loc="(760,570)" name="Tunnel">
<a name="label" val="Ab"/>
</comp>
<comp lib="0" loc="(760,420)" name="Tunnel">
<a name="label" val="Be"/>
</comp>
<comp lib="0" loc="(760,610)" name="Tunnel">
<a name="label" val="Ad"/>
</comp>
<comp lib="0" loc="(860,450)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Ad"/>
</comp>
<comp lib="5" loc="(1000,370)" name="7-Segment Display"/>
<comp lib="5" loc="(870,370)" name="7-Segment Display"/>
<comp lib="0" loc="(760,460)" name="Tunnel">
<a name="label" val="Bg"/>
</comp>
<comp lib="0" loc="(830,450)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Ae"/>
</comp>
<comp lib="0" loc="(760,650)" name="Tunnel">
<a name="label" val="Af"/>
</comp>
<comp lib="0" loc="(760,380)" name="Tunnel">
<a name="label" val="Bc"/>
</comp>
<comp lib="0" loc="(760,630)" name="Tunnel">
<a name="label" val="Ae"/>
</comp>
<comp loc="(760,340)" name="decodeur_4to7"/>
<comp lib="0" loc="(830,350)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Ag"/>
</comp>
<comp lib="0" loc="(1050,450)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Bc"/>
</comp>
<comp lib="0" loc="(930,350)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Ab"/>
</comp>
<comp lib="0" loc="(1030,340)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Ba"/>
</comp>
<comp lib="0" loc="(1010,450)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Bd"/>
</comp>
<comp lib="0" loc="(890,350)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Aa"/>
</comp>
<comp lib="5" loc="(320,430)" name="DipSwitch">
<a name="number" val="4"/>
</comp>
</circuit>
<circuit name="decodeur_4to7_a">
<a name="circuit" val="decodeur_4to7_a"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(730,450)" to="(730,460)"/>
<wire from="(740,560)" to="(740,570)"/>
<wire from="(720,320)" to="(720,330)"/>
<wire from="(720,240)" to="(720,250)"/>
<wire from="(620,560)" to="(740,560)"/>
<wire from="(760,560)" to="(760,570)"/>
<wire from="(760,720)" to="(760,730)"/>
<wire from="(950,590)" to="(950,730)"/>
<wire from="(680,200)" to="(730,200)"/>
<wire from="(680,500)" to="(730,500)"/>
<wire from="(130,220)" to="(190,220)"/>
<wire from="(130,380)" to="(190,380)"/>
<wire from="(1090,580)" to="(1130,580)"/>
<wire from="(680,250)" to="(720,250)"/>
<wire from="(770,850)" to="(770,880)"/>
<wire from="(770,1090)" to="(770,1120)"/>
<wire from="(950,480)" to="(950,570)"/>
<wire from="(620,320)" to="(720,320)"/>
<wire from="(980,920)" to="(980,1070)"/>
<wire from="(780,480)" to="(950,480)"/>
<wire from="(620,450)" to="(730,450)"/>
<wire from="(780,220)" to="(1020,220)"/>
<wire from="(980,350)" to="(980,560)"/>
<wire from="(770,880)" to="(790,880)"/>
<wire from="(770,920)" to="(790,920)"/>
<wire from="(1020,550)" to="(1040,550)"/>
<wire from="(1020,610)" to="(1040,610)"/>
<wire from="(740,570)" to="(760,570)"/>
<wire from="(820,580)" to="(1040,580)"/>
<wire from="(620,200)" to="(650,200)"/>
<wire from="(620,380)" to="(650,380)"/>
<wire from="(620,500)" to="(650,500)"/>
<wire from="(620,720)" to="(650,720)"/>
<wire from="(620,900)" to="(650,900)"/>
<wire from="(680,850)" to="(770,850)"/>
<wire from="(820,1070)" to="(980,1070)"/>
<wire from="(840,900)" to="(970,900)"/>
<wire from="(970,600)" to="(1040,600)"/>
<wire from="(680,720)" to="(760,720)"/>
<wire from="(760,560)" to="(770,560)"/>
<wire from="(720,240)" to="(730,240)"/>
<wire from="(620,670)" to="(760,670)"/>
<wire from="(620,770)" to="(760,770)"/>
<wire from="(760,700)" to="(770,700)"/>
<wire from="(720,370)" to="(720,380)"/>
<wire from="(770,700)" to="(770,710)"/>
<wire from="(770,600)" to="(770,610)"/>
<wire from="(1020,220)" to="(1020,550)"/>
<wire from="(130,270)" to="(190,270)"/>
<wire from="(130,330)" to="(190,330)"/>
<wire from="(980,560)" to="(1040,560)"/>
<wire from="(680,380)" to="(720,380)"/>
<wire from="(770,920)" to="(770,950)"/>
<wire from="(770,1020)" to="(770,1050)"/>
<wire from="(760,670)" to="(760,700)"/>
<wire from="(670,1020)" to="(770,1020)"/>
<wire from="(670,1120)" to="(770,1120)"/>
<wire from="(980,920)" to="(1020,920)"/>
<wire from="(680,900)" to="(790,900)"/>
<wire from="(760,750)" to="(760,770)"/>
<wire from="(970,600)" to="(970,900)"/>
<wire from="(620,610)" to="(770,610)"/>
<wire from="(620,950)" to="(770,950)"/>
<wire from="(620,1070)" to="(770,1070)"/>
<wire from="(620,1020)" to="(640,1020)"/>
<wire from="(620,1120)" to="(640,1120)"/>
<wire from="(1070,580)" to="(1080,580)"/>
<wire from="(950,570)" to="(1040,570)"/>
<wire from="(950,590)" to="(1040,590)"/>
<wire from="(620,250)" to="(650,250)"/>
<wire from="(620,850)" to="(650,850)"/>
<wire from="(820,730)" to="(950,730)"/>
<wire from="(780,350)" to="(980,350)"/>
<wire from="(1020,610)" to="(1020,920)"/>
<wire from="(720,330)" to="(730,330)"/>
<wire from="(720,370)" to="(730,370)"/>
<wire from="(760,750)" to="(770,750)"/>
<wire from="(760,730)" to="(770,730)"/>
<comp lib="0" loc="(130,330)" name="Pin">
<a name="label" val="In2"/>
</comp>
<comp lib="0" loc="(620,850)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(680,200)" name="NOT Gate"/>
<comp lib="0" loc="(620,1020)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(670,1120)" name="NOT Gate"/>
<comp lib="0" loc="(620,610)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(620,380)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(620,250)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(130,220)" name="Pin">
<a name="label" val="In0"/>
</comp>
<comp lib="1" loc="(680,380)" name="NOT Gate"/>
<comp lib="0" loc="(190,380)" name="Tunnel">
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(620,500)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(620,450)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(680,900)" name="NOT Gate"/>
<comp lib="1" loc="(680,850)" name="NOT Gate"/>
<comp lib="1" loc="(780,350)" name="AND Gate"/>
<comp lib="1" loc="(840,900)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(130,380)" name="Pin">
<a name="label" val="In3"/>
</comp>
<comp lib="1" loc="(780,220)" name="AND Gate"/>
<comp lib="0" loc="(1130,580)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="A"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(820,730)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(190,330)" name="Tunnel">
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(820,1070)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(620,200)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(620,1070)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="1" loc="(680,500)" name="NOT Gate"/>
<comp lib="1" loc="(1090,580)" name="OR Gate">
<a name="inputs" val="7"/>
</comp>
<comp lib="0" loc="(190,220)" name="Tunnel">
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(780,480)" name="AND Gate"/>
<comp lib="0" loc="(620,320)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(620,900)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(820,580)" name="AND Gate"/>
<comp lib="1" loc="(680,720)" name="NOT Gate"/>
<comp lib="1" loc="(680,250)" name="NOT Gate"/>
<comp lib="0" loc="(190,270)" name="Tunnel">
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(620,720)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(620,1120)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(670,1020)" name="NOT Gate"/>
<comp lib="0" loc="(620,950)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(620,770)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(620,560)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(130,270)" name="Pin">
<a name="label" val="In1"/>
</comp>
<comp lib="0" loc="(620,670)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
</circuit>
<circuit name="decodeur_4to7_b">
<a name="circuit" val="decodeur_4to7_b"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(690,470)" to="(740,470)"/>
<wire from="(410,630)" to="(460,630)"/>
<wire from="(460,640)" to="(510,640)"/>
<wire from="(460,800)" to="(510,800)"/>
<wire from="(490,250)" to="(490,260)"/>
<wire from="(480,420)" to="(480,430)"/>
<wire from="(480,560)" to="(480,570)"/>
<wire from="(700,490)" to="(740,490)"/>
<wire from="(460,280)" to="(460,300)"/>
<wire from="(460,780)" to="(460,800)"/>
<wire from="(790,480)" to="(830,480)"/>
<wire from="(410,670)" to="(510,670)"/>
<wire from="(690,450)" to="(690,470)"/>
<wire from="(490,260)" to="(530,260)"/>
<wire from="(460,350)" to="(500,350)"/>
<wire from="(460,470)" to="(500,470)"/>
<wire from="(580,270)" to="(740,270)"/>
<wire from="(410,300)" to="(430,300)"/>
<wire from="(410,420)" to="(430,420)"/>
<wire from="(410,200)" to="(430,200)"/>
<wire from="(410,520)" to="(430,520)"/>
<wire from="(410,780)" to="(430,780)"/>
<wire from="(480,430)" to="(500,430)"/>
<wire from="(460,570)" to="(480,570)"/>
<wire from="(490,840)" to="(510,840)"/>
<wire from="(500,200)" to="(500,250)"/>
<wire from="(410,250)" to="(490,250)"/>
<wire from="(680,480)" to="(680,540)"/>
<wire from="(120,330)" to="(190,330)"/>
<wire from="(120,270)" to="(190,270)"/>
<wire from="(560,670)" to="(700,670)"/>
<wire from="(510,640)" to="(510,650)"/>
<wire from="(560,820)" to="(740,820)"/>
<wire from="(460,690)" to="(510,690)"/>
<wire from="(740,500)" to="(740,820)"/>
<wire from="(460,630)" to="(460,640)"/>
<wire from="(680,480)" to="(740,480)"/>
<wire from="(460,690)" to="(460,710)"/>
<wire from="(490,840)" to="(490,860)"/>
<wire from="(410,820)" to="(510,820)"/>
<wire from="(460,200)" to="(500,200)"/>
<wire from="(460,520)" to="(500,520)"/>
<wire from="(500,250)" to="(530,250)"/>
<wire from="(500,290)" to="(530,290)"/>
<wire from="(410,350)" to="(430,350)"/>
<wire from="(410,470)" to="(430,470)"/>
<wire from="(410,570)" to="(430,570)"/>
<wire from="(410,710)" to="(430,710)"/>
<wire from="(460,420)" to="(480,420)"/>
<wire from="(480,560)" to="(500,560)"/>
<wire from="(740,270)" to="(740,460)"/>
<wire from="(410,860)" to="(490,860)"/>
<wire from="(550,540)" to="(680,540)"/>
<wire from="(120,380)" to="(190,380)"/>
<wire from="(120,220)" to="(190,220)"/>
<wire from="(500,290)" to="(500,350)"/>
<wire from="(550,450)" to="(690,450)"/>
<wire from="(460,280)" to="(530,280)"/>
<wire from="(700,490)" to="(700,670)"/>
<comp lib="1" loc="(460,780)" name="NOT Gate"/>
<comp lib="1" loc="(460,570)" name="NOT Gate"/>
<comp lib="0" loc="(410,520)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(410,670)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(460,520)" name="NOT Gate"/>
<comp lib="1" loc="(550,540)" name="AND Gate"/>
<comp lib="0" loc="(120,330)" name="Pin">
<a name="label" val="In2"/>
</comp>
<comp lib="0" loc="(410,350)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(460,710)" name="NOT Gate"/>
<comp lib="0" loc="(120,380)" name="Pin">
<a name="label" val="In3"/>
</comp>
<comp lib="1" loc="(460,200)" name="NOT Gate"/>
<comp lib="0" loc="(410,820)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(460,470)" name="NOT Gate"/>
<comp lib="0" loc="(190,330)" name="Tunnel">
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(580,270)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(190,380)" name="Tunnel">
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(410,250)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(460,420)" name="NOT Gate"/>
<comp lib="0" loc="(410,630)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(410,420)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(410,860)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(190,270)" name="Tunnel">
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(410,780)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(120,270)" name="Pin">
<a name="label" val="In1"/>
</comp>
<comp lib="1" loc="(560,820)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(410,570)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(560,670)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="1" loc="(460,350)" name="NOT Gate"/>
<comp lib="0" loc="(410,300)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(410,200)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(410,710)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(830,480)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="B"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(790,480)" name="OR Gate">
<a name="inputs" val="5"/>
</comp>
<comp lib="0" loc="(190,220)" name="Tunnel">
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(410,470)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(120,220)" name="Pin">
<a name="label" val="In0"/>
</comp>
<comp lib="1" loc="(550,450)" name="AND Gate"/>
<comp lib="1" loc="(460,300)" name="NOT Gate"/>
</circuit>
<circuit name="decodeur_4to7_c">
<a name="circuit" val="decodeur_4to7_c"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(360,700)" to="(410,700)"/>
<wire from="(440,540)" to="(440,550)"/>
<wire from="(440,220)" to="(440,230)"/>
<wire from="(410,690)" to="(410,700)"/>
<wire from="(490,670)" to="(490,680)"/>
<wire from="(660,290)" to="(720,290)"/>
<wire from="(660,330)" to="(720,330)"/>
<wire from="(490,570)" to="(660,570)"/>
<wire from="(470,650)" to="(470,670)"/>
<wire from="(360,650)" to="(470,650)"/>
<wire from="(430,710)" to="(430,740)"/>
<wire from="(360,100)" to="(460,100)"/>
<wire from="(660,120)" to="(660,290)"/>
<wire from="(400,740)" to="(430,740)"/>
<wire from="(360,150)" to="(380,150)"/>
<wire from="(360,330)" to="(380,330)"/>
<wire from="(360,430)" to="(380,430)"/>
<wire from="(490,250)" to="(640,250)"/>
<wire from="(650,320)" to="(720,320)"/>
<wire from="(110,270)" to="(190,270)"/>
<wire from="(110,330)" to="(190,330)"/>
<wire from="(500,350)" to="(640,350)"/>
<wire from="(360,740)" to="(370,740)"/>
<wire from="(360,780)" to="(370,780)"/>
<wire from="(360,380)" to="(440,380)"/>
<wire from="(360,600)" to="(440,600)"/>
<wire from="(640,280)" to="(720,280)"/>
<wire from="(640,300)" to="(720,300)"/>
<wire from="(660,330)" to="(660,570)"/>
<wire from="(640,300)" to="(640,350)"/>
<wire from="(650,320)" to="(650,450)"/>
<wire from="(450,140)" to="(450,150)"/>
<wire from="(440,370)" to="(440,380)"/>
<wire from="(440,470)" to="(440,480)"/>
<wire from="(440,590)" to="(440,600)"/>
<wire from="(770,310)" to="(810,310)"/>
<wire from="(640,250)" to="(640,280)"/>
<wire from="(410,150)" to="(450,150)"/>
<wire from="(410,330)" to="(450,330)"/>
<wire from="(410,430)" to="(450,430)"/>
<wire from="(410,690)" to="(500,690)"/>
<wire from="(550,700)" to="(700,700)"/>
<wire from="(700,340)" to="(700,700)"/>
<wire from="(410,480)" to="(440,480)"/>
<wire from="(410,540)" to="(440,540)"/>
<wire from="(410,220)" to="(440,220)"/>
<wire from="(470,720)" to="(500,720)"/>
<wire from="(700,340)" to="(720,340)"/>
<wire from="(750,310)" to="(770,310)"/>
<wire from="(360,480)" to="(380,480)"/>
<wire from="(360,540)" to="(380,540)"/>
<wire from="(360,220)" to="(380,220)"/>
<wire from="(470,670)" to="(490,670)"/>
<wire from="(510,120)" to="(660,120)"/>
<wire from="(500,450)" to="(650,450)"/>
<wire from="(450,140)" to="(460,140)"/>
<wire from="(440,370)" to="(450,370)"/>
<wire from="(440,470)" to="(450,470)"/>
<wire from="(110,220)" to="(190,220)"/>
<wire from="(110,380)" to="(190,380)"/>
<wire from="(490,680)" to="(500,680)"/>
<wire from="(360,270)" to="(440,270)"/>
<wire from="(470,720)" to="(470,780)"/>
<wire from="(400,780)" to="(470,780)"/>
<wire from="(430,710)" to="(500,710)"/>
<comp lib="1" loc="(410,220)" name="NOT Gate"/>
<comp lib="0" loc="(360,330)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="1" loc="(400,740)" name="NOT Gate"/>
<comp lib="0" loc="(360,480)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(500,350)" name="AND Gate"/>
<comp lib="1" loc="(410,430)" name="NOT Gate"/>
<comp lib="0" loc="(360,270)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(110,270)" name="Pin">
<a name="label" val="In1"/>
</comp>
<comp lib="0" loc="(360,150)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(500,450)" name="AND Gate"/>
<comp lib="1" loc="(490,250)" name="AND Gate"/>
<comp lib="0" loc="(190,330)" name="Tunnel">
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(490,570)" name="AND Gate"/>
<comp lib="1" loc="(410,330)" name="NOT Gate"/>
<comp lib="0" loc="(190,270)" name="Tunnel">
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(360,740)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(110,380)" name="Pin">
<a name="label" val="In3"/>
</comp>
<comp lib="0" loc="(360,430)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(360,540)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="1" loc="(550,700)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(360,220)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(190,380)" name="Tunnel">
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(360,100)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(360,780)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="1" loc="(770,310)" name="OR Gate">
<a name="inputs" val="6"/>
</comp>
<comp lib="0" loc="(190,220)" name="Tunnel">
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(410,540)" name="NOT Gate"/>
<comp lib="0" loc="(810,310)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="C"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(360,700)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(400,780)" name="NOT Gate"/>
<comp lib="1" loc="(410,150)" name="NOT Gate"/>
<comp lib="1" loc="(410,480)" name="NOT Gate"/>
<comp lib="0" loc="(360,380)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(360,600)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(110,220)" name="Pin">
<a name="label" val="In0"/>
</comp>
<comp lib="0" loc="(110,330)" name="Pin">
<a name="label" val="In2"/>
</comp>
<comp lib="0" loc="(360,650)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(510,120)" name="AND Gate"/>
</circuit>
<circuit name="decodeur_4to7_d">
<a name="circuit" val="decodeur_4to7_d"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(570,700)" to="(750,700)"/>
<wire from="(800,230)" to="(800,550)"/>
<wire from="(490,210)" to="(490,220)"/>
<wire from="(510,530)" to="(510,550)"/>
<wire from="(800,610)" to="(840,610)"/>
<wire from="(800,550)" to="(840,550)"/>
<wire from="(890,580)" to="(930,580)"/>
<wire from="(800,610)" to="(800,950)"/>
<wire from="(410,350)" to="(510,350)"/>
<wire from="(410,470)" to="(510,470)"/>
<wire from="(410,610)" to="(510,610)"/>
<wire from="(790,410)" to="(790,560)"/>
<wire from="(510,590)" to="(510,610)"/>
<wire from="(420,170)" to="(510,170)"/>
<wire from="(360,790)" to="(520,790)"/>
<wire from="(360,870)" to="(520,870)"/>
<wire from="(360,970)" to="(520,970)"/>
<wire from="(360,740)" to="(390,740)"/>
<wire from="(360,350)" to="(380,350)"/>
<wire from="(360,390)" to="(380,390)"/>
<wire from="(360,430)" to="(380,430)"/>
<wire from="(360,470)" to="(380,470)"/>
<wire from="(360,570)" to="(380,570)"/>
<wire from="(360,610)" to="(380,610)"/>
<wire from="(570,410)" to="(790,410)"/>
<wire from="(770,600)" to="(770,830)"/>
<wire from="(510,170)" to="(510,210)"/>
<wire from="(510,250)" to="(510,290)"/>
<wire from="(510,350)" to="(510,390)"/>
<wire from="(510,430)" to="(510,470)"/>
<wire from="(360,660)" to="(510,660)"/>
<wire from="(110,330)" to="(190,330)"/>
<wire from="(110,270)" to="(190,270)"/>
<wire from="(510,550)" to="(520,550)"/>
<wire from="(510,430)" to="(520,430)"/>
<wire from="(510,390)" to="(520,390)"/>
<wire from="(510,250)" to="(520,250)"/>
<wire from="(510,210)" to="(520,210)"/>
<wire from="(570,830)" to="(770,830)"/>
<wire from="(770,600)" to="(840,600)"/>
<wire from="(570,570)" to="(840,570)"/>
<wire from="(420,250)" to="(490,250)"/>
<wire from="(510,590)" to="(520,590)"/>
<wire from="(410,430)" to="(470,430)"/>
<wire from="(790,560)" to="(840,560)"/>
<wire from="(470,420)" to="(520,420)"/>
<wire from="(490,240)" to="(490,250)"/>
<wire from="(480,390)" to="(480,400)"/>
<wire from="(470,420)" to="(470,430)"/>
<wire from="(570,230)" to="(800,230)"/>
<wire from="(570,950)" to="(800,950)"/>
<wire from="(410,570)" to="(520,570)"/>
<wire from="(420,830)" to="(520,830)"/>
<wire from="(420,930)" to="(520,930)"/>
<wire from="(510,720)" to="(510,740)"/>
<wire from="(510,660)" to="(510,680)"/>
<wire from="(520,790)" to="(520,810)"/>
<wire from="(520,850)" to="(520,870)"/>
<wire from="(480,400)" to="(520,400)"/>
<wire from="(420,740)" to="(510,740)"/>
<wire from="(360,700)" to="(520,700)"/>
<wire from="(490,240)" to="(520,240)"/>
<wire from="(490,220)" to="(520,220)"/>
<wire from="(750,590)" to="(750,700)"/>
<wire from="(360,170)" to="(390,170)"/>
<wire from="(360,250)" to="(390,250)"/>
<wire from="(360,830)" to="(390,830)"/>
<wire from="(360,930)" to="(390,930)"/>
<wire from="(750,590)" to="(840,590)"/>
<wire from="(360,290)" to="(510,290)"/>
<wire from="(360,530)" to="(510,530)"/>
<wire from="(110,220)" to="(190,220)"/>
<wire from="(110,380)" to="(190,380)"/>
<wire from="(360,210)" to="(490,210)"/>
<wire from="(410,390)" to="(480,390)"/>
<wire from="(510,680)" to="(520,680)"/>
<wire from="(510,720)" to="(520,720)"/>
<comp lib="0" loc="(110,270)" name="Pin">
<a name="label" val="In1"/>
</comp>
<comp lib="0" loc="(360,430)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(420,740)" name="NOT Gate"/>
<comp lib="1" loc="(410,570)" name="NOT Gate"/>
<comp lib="1" loc="(570,570)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(110,220)" name="Pin">
<a name="label" val="In0"/>
</comp>
<comp lib="1" loc="(570,700)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(360,870)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(410,390)" name="NOT Gate"/>
<comp lib="0" loc="(360,970)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(360,740)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(110,380)" name="Pin">
<a name="label" val="In3"/>
</comp>
<comp lib="0" loc="(190,220)" name="Tunnel">
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(190,380)" name="Tunnel">
<a name="label" val="IN3"/>
</comp>
<comp lib="1" loc="(570,230)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(360,790)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(360,530)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(410,610)" name="NOT Gate"/>
<comp lib="0" loc="(360,830)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(420,250)" name="NOT Gate"/>
<comp lib="0" loc="(360,470)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(410,430)" name="NOT Gate"/>
<comp lib="1" loc="(420,830)" name="NOT Gate"/>
<comp lib="1" loc="(890,580)" name="OR Gate">
<a name="inputs" val="6"/>
</comp>
<comp lib="1" loc="(570,950)" name="AND Gate"/>
<comp lib="1" loc="(410,470)" name="NOT Gate"/>
<comp lib="0" loc="(360,170)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(360,660)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(420,170)" name="NOT Gate"/>
<comp lib="0" loc="(190,330)" name="Tunnel">
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(360,930)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(360,350)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="1" loc="(410,350)" name="NOT Gate"/>
<comp lib="0" loc="(360,250)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(360,700)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(110,330)" name="Pin">
<a name="label" val="In2"/>
</comp>
<comp lib="0" loc="(360,290)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(360,570)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(360,390)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(570,410)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(360,610)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(360,210)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(420,930)" name="NOT Gate"/>
<comp lib="0" loc="(190,270)" name="Tunnel">
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(930,580)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="D"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(570,830)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
</circuit>
<circuit name="decodeur_4to7_e">
<a name="circuit" val="decodeur_4to7_e"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(590,340)" to="(590,470)"/>
<wire from="(480,200)" to="(590,200)"/>
<wire from="(480,470)" to="(590,470)"/>
<wire from="(570,290)" to="(570,310)"/>
<wire from="(390,180)" to="(430,180)"/>
<wire from="(390,220)" to="(430,220)"/>
<wire from="(390,400)" to="(430,400)"/>
<wire from="(480,290)" to="(570,290)"/>
<wire from="(480,380)" to="(570,380)"/>
<wire from="(340,270)" to="(430,270)"/>
<wire from="(340,310)" to="(430,310)"/>
<wire from="(340,360)" to="(430,360)"/>
<wire from="(340,450)" to="(430,450)"/>
<wire from="(340,490)" to="(430,490)"/>
<wire from="(570,310)" to="(590,310)"/>
<wire from="(570,330)" to="(590,330)"/>
<wire from="(340,180)" to="(360,180)"/>
<wire from="(340,220)" to="(360,220)"/>
<wire from="(340,400)" to="(360,400)"/>
<wire from="(640,320)" to="(670,320)"/>
<wire from="(590,200)" to="(590,300)"/>
<wire from="(110,330)" to="(190,330)"/>
<wire from="(110,270)" to="(190,270)"/>
<wire from="(110,220)" to="(190,220)"/>
<wire from="(110,380)" to="(190,380)"/>
<wire from="(570,330)" to="(570,380)"/>
<comp lib="0" loc="(110,330)" name="Pin">
<a name="label" val="In2"/>
</comp>
<comp lib="0" loc="(340,220)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(390,180)" name="NOT Gate"/>
<comp lib="0" loc="(110,220)" name="Pin">
<a name="label" val="In0"/>
</comp>
<comp lib="0" loc="(190,270)" name="Tunnel">
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(340,310)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(340,270)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(340,400)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(480,470)" name="AND Gate"/>
<comp lib="0" loc="(110,270)" name="Pin">
<a name="label" val="In1"/>
</comp>
<comp lib="0" loc="(670,320)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="E"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(110,380)" name="Pin">
<a name="label" val="In3"/>
</comp>
<comp lib="1" loc="(480,200)" name="AND Gate"/>
<comp lib="0" loc="(340,490)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(390,400)" name="NOT Gate"/>
<comp lib="1" loc="(640,320)" name="OR Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(340,180)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(190,220)" name="Tunnel">
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(340,360)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(190,380)" name="Tunnel">
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(340,450)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(190,330)" name="Tunnel">
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(480,380)" name="AND Gate"/>
<comp lib="1" loc="(390,220)" name="NOT Gate"/>
<comp lib="1" loc="(480,290)" name="AND Gate"/>
</circuit>
<circuit name="decodeur_4to7_f">
<a name="circuit" val="decodeur_4to7_f"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(400,480)" to="(400,490)"/>
<wire from="(430,490)" to="(430,500)"/>
<wire from="(430,540)" to="(430,560)"/>
<wire from="(430,670)" to="(430,690)"/>
<wire from="(430,610)" to="(430,630)"/>
<wire from="(580,310)" to="(580,400)"/>
<wire from="(480,210)" to="(590,210)"/>
<wire from="(480,410)" to="(590,410)"/>
<wire from="(480,650)" to="(590,650)"/>
<wire from="(590,430)" to="(590,650)"/>
<wire from="(480,310)" to="(580,310)"/>
<wire from="(480,520)" to="(580,520)"/>
<wire from="(400,190)" to="(430,190)"/>
<wire from="(400,230)" to="(430,230)"/>
<wire from="(400,330)" to="(430,330)"/>
<wire from="(400,490)" to="(430,490)"/>
<wire from="(400,560)" to="(430,560)"/>
<wire from="(400,650)" to="(430,650)"/>
<wire from="(350,190)" to="(370,190)"/>
<wire from="(350,230)" to="(370,230)"/>
<wire from="(350,330)" to="(370,330)"/>
<wire from="(350,480)" to="(370,480)"/>
<wire from="(350,560)" to="(370,560)"/>
<wire from="(350,650)" to="(370,650)"/>
<wire from="(640,410)" to="(670,410)"/>
<wire from="(580,420)" to="(580,520)"/>
<wire from="(110,330)" to="(190,330)"/>
<wire from="(110,270)" to="(190,270)"/>
<wire from="(110,220)" to="(190,220)"/>
<wire from="(110,380)" to="(190,380)"/>
<wire from="(350,290)" to="(430,290)"/>
<wire from="(350,390)" to="(430,390)"/>
<wire from="(350,430)" to="(430,430)"/>
<wire from="(350,520)" to="(430,520)"/>
<wire from="(350,610)" to="(430,610)"/>
<wire from="(350,690)" to="(430,690)"/>
<wire from="(590,210)" to="(590,390)"/>
<wire from="(580,400)" to="(590,400)"/>
<wire from="(580,420)" to="(590,420)"/>
<comp lib="1" loc="(480,210)" name="AND Gate"/>
<comp lib="1" loc="(480,310)" name="AND Gate"/>
<comp lib="0" loc="(350,480)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(110,220)" name="Pin">
<a name="label" val="In0"/>
</comp>
<comp lib="1" loc="(480,520)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="1" loc="(400,190)" name="NOT Gate"/>
<comp lib="0" loc="(350,290)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(110,270)" name="Pin">
<a name="label" val="In1"/>
</comp>
<comp lib="0" loc="(350,610)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(350,230)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(350,330)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(350,520)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(190,330)" name="Tunnel">
<a name="label" val="IN2"/>
</comp>
<comp lib="1" loc="(400,650)" name="NOT Gate"/>
<comp lib="0" loc="(190,270)" name="Tunnel">
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(640,410)" name="OR Gate">
<a name="inputs" val="5"/>
</comp>
<comp lib="1" loc="(400,480)" name="NOT Gate"/>
<comp lib="0" loc="(110,330)" name="Pin">
<a name="label" val="In2"/>
</comp>
<comp lib="0" loc="(350,190)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(480,650)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(670,410)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="F"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(350,390)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(190,380)" name="Tunnel">
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(110,380)" name="Pin">
<a name="label" val="In3"/>
</comp>
<comp lib="0" loc="(350,690)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(350,430)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(400,330)" name="NOT Gate"/>
<comp lib="1" loc="(400,230)" name="NOT Gate"/>
<comp lib="0" loc="(190,220)" name="Tunnel">
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(400,560)" name="NOT Gate"/>
<comp lib="0" loc="(350,560)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(480,410)" name="AND Gate"/>
<comp lib="0" loc="(350,650)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
</circuit>
<circuit name="decodeur_4to7_g">
<a name="circuit" val="decodeur_4to7_g"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(500,120)" to="(620,120)"/>
<wire from="(500,570)" to="(620,570)"/>
<wire from="(450,390)" to="(450,410)"/>
<wire from="(450,450)" to="(450,470)"/>
<wire from="(450,530)" to="(450,550)"/>
<wire from="(450,590)" to="(450,610)"/>
<wire from="(590,340)" to="(590,430)"/>
<wire from="(620,350)" to="(620,570)"/>
<wire from="(500,220)" to="(600,220)"/>
<wire from="(490,310)" to="(590,310)"/>
<wire from="(590,310)" to="(590,330)"/>
<wire from="(500,430)" to="(590,430)"/>
<wire from="(420,430)" to="(450,430)"/>
<wire from="(420,390)" to="(450,390)"/>
<wire from="(420,530)" to="(450,530)"/>
<wire from="(420,610)" to="(450,610)"/>
<wire from="(600,320)" to="(620,320)"/>
<wire from="(670,330)" to="(690,330)"/>
<wire from="(370,140)" to="(400,140)"/>
<wire from="(370,240)" to="(400,240)"/>
<wire from="(370,390)" to="(390,390)"/>
<wire from="(370,430)" to="(390,430)"/>
<wire from="(370,530)" to="(390,530)"/>
<wire from="(370,610)" to="(390,610)"/>
<wire from="(430,140)" to="(450,140)"/>
<wire from="(430,240)" to="(450,240)"/>
<wire from="(590,330)" to="(620,330)"/>
<wire from="(590,340)" to="(620,340)"/>
<wire from="(600,220)" to="(600,320)"/>
<wire from="(110,330)" to="(190,330)"/>
<wire from="(110,270)" to="(190,270)"/>
<wire from="(110,220)" to="(190,220)"/>
<wire from="(110,380)" to="(190,380)"/>
<wire from="(370,100)" to="(450,100)"/>
<wire from="(370,200)" to="(450,200)"/>
<wire from="(370,470)" to="(450,470)"/>
<wire from="(370,570)" to="(450,570)"/>
<wire from="(620,120)" to="(620,310)"/>
<wire from="(370,290)" to="(440,290)"/>
<wire from="(370,330)" to="(440,330)"/>
<comp lib="0" loc="(370,200)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(190,380)" name="Tunnel">
<a name="label" val="IN3"/>
</comp>
<comp lib="1" loc="(490,310)" name="AND Gate"/>
<comp lib="0" loc="(370,570)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(370,330)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="1" loc="(420,390)" name="NOT Gate"/>
<comp lib="0" loc="(370,140)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(190,220)" name="Tunnel">
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(420,610)" name="NOT Gate"/>
<comp lib="0" loc="(110,220)" name="Pin">
<a name="label" val="In0"/>
</comp>
<comp lib="0" loc="(190,270)" name="Tunnel">
<a name="label" val="IN1"/>
</comp>
<comp lib="1" loc="(500,120)" name="AND Gate"/>
<comp lib="0" loc="(370,100)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="1" loc="(670,330)" name="OR Gate">
<a name="inputs" val="5"/>
</comp>
<comp lib="1" loc="(420,530)" name="NOT Gate"/>
<comp lib="0" loc="(370,390)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="1" loc="(430,240)" name="NOT Gate"/>
<comp lib="0" loc="(690,330)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="G"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(110,270)" name="Pin">
<a name="label" val="In1"/>
</comp>
<comp lib="0" loc="(370,290)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(370,610)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(190,330)" name="Tunnel">
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(370,430)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(110,330)" name="Pin">
<a name="label" val="In2"/>
</comp>
<comp lib="0" loc="(370,240)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="1" loc="(420,430)" name="NOT Gate"/>
<comp lib="1" loc="(430,140)" name="NOT Gate"/>
<comp lib="1" loc="(500,430)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="1" loc="(500,570)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(370,470)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(110,380)" name="Pin">
<a name="label" val="In3"/>
</comp>
<comp lib="1" loc="(500,220)" name="AND Gate"/>
<comp lib="0" loc="(370,530)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
</circuit>
<circuit name="decodeur_4to7">
<a name="circuit" val="decodeur_4to7"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(340,1090)" to="(400,1090)"/>
<wire from="(320,900)" to="(370,900)"/>
<wire from="(320,860)" to="(370,860)"/>
<wire from="(320,920)" to="(370,920)"/>
<wire from="(320,980)" to="(370,980)"/>
<wire from="(340,140)" to="(340,150)"/>
<wire from="(330,1230)" to="(330,1240)"/>
<wire from="(360,320)" to="(360,340)"/>
<wire from="(320,380)" to="(320,400)"/>
<wire from="(360,500)" to="(360,520)"/>
<wire from="(320,560)" to="(320,580)"/>
<wire from="(370,670)" to="(370,690)"/>
<wire from="(320,920)" to="(320,940)"/>
<wire from="(390,1030)" to="(390,1050)"/>
<wire from="(320,1110)" to="(340,1110)"/>
<wire from="(360,400)" to="(360,440)"/>
<wire from="(360,580)" to="(360,620)"/>
<wire from="(370,750)" to="(370,790)"/>
<wire from="(390,1050)" to="(400,1050)"/>
<wire from="(110,330)" to="(190,330)"/>
<wire from="(110,270)" to="(190,270)"/>
<wire from="(320,1240)" to="(330,1240)"/>
<wire from="(390,1270)" to="(390,1320)"/>
<wire from="(320,1030)" to="(390,1030)"/>
<wire from="(330,1230)" to="(390,1230)"/>
<wire from="(320,670)" to="(370,670)"/>
<wire from="(320,710)" to="(370,710)"/>
<wire from="(320,730)" to="(370,730)"/>
<wire from="(320,790)" to="(370,790)"/>
<wire from="(340,1250)" to="(390,1250)"/>
<wire from="(390,1200)" to="(390,1210)"/>
<wire from="(320,170)" to="(320,180)"/>
<wire from="(320,730)" to="(320,750)"/>
<wire from="(340,1090)" to="(340,1110)"/>
<wire from="(370,860)" to="(370,880)"/>
<wire from="(320,190)" to="(320,220)"/>
<wire from="(340,1250)" to="(340,1280)"/>
<wire from="(320,320)" to="(360,320)"/>
<wire from="(320,360)" to="(360,360)"/>
<wire from="(320,380)" to="(360,380)"/>
<wire from="(320,440)" to="(360,440)"/>
<wire from="(320,500)" to="(360,500)"/>
<wire from="(320,540)" to="(360,540)"/>
<wire from="(320,560)" to="(360,560)"/>
<wire from="(320,620)" to="(360,620)"/>
<wire from="(320,170)" to="(350,170)"/>
<wire from="(320,190)" to="(350,190)"/>
<wire from="(320,140)" to="(340,140)"/>
<wire from="(320,260)" to="(340,260)"/>
<wire from="(320,1280)" to="(340,1280)"/>
<wire from="(370,940)" to="(370,980)"/>
<wire from="(400,1110)" to="(400,1150)"/>
<wire from="(110,220)" to="(190,220)"/>
<wire from="(110,380)" to="(190,380)"/>
<wire from="(340,210)" to="(350,210)"/>
<wire from="(340,150)" to="(350,150)"/>
<wire from="(320,1070)" to="(400,1070)"/>
<wire from="(320,1150)" to="(400,1150)"/>
<wire from="(340,210)" to="(340,260)"/>
<wire from="(320,1200)" to="(390,1200)"/>
<wire from="(320,1320)" to="(390,1320)"/>
<comp lib="0" loc="(320,1240)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(320,1110)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(320,1280)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(320,400)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(320,860)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(510,340)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="b"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(190,270)" name="Tunnel">
<a name="label" val="IN1"/>
</comp>
<comp loc="(510,340)" name="decodeur_4to7_b"/>
<comp lib="0" loc="(190,220)" name="Tunnel">
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(500,150)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="a"/>
<a name="labelloc" val="east"/>
</comp>
<comp loc="(500,150)" name="decodeur_4to7_a"/>
<comp lib="0" loc="(320,180)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(320,790)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(320,580)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(320,750)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(320,140)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(190,380)" name="Tunnel">
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(320,1200)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp loc="(520,880)" name="decodeur_4to7_e"/>
<comp lib="0" loc="(520,690)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="d"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(320,500)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(320,620)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(190,330)" name="Tunnel">
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(320,1070)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(320,980)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(320,1150)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(110,270)" name="Pin">
<a name="label" val="In1"/>
</comp>
<comp loc="(510,520)" name="decodeur_4to7_c"/>
<comp loc="(540,1210)" name="decodeur_4to7_g"/>
<comp lib="0" loc="(320,260)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(110,380)" name="Pin">
<a name="label" val="In3"/>
</comp>
<comp lib="0" loc="(320,540)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(110,220)" name="Pin">
<a name="label" val="In0"/>
</comp>
<comp lib="0" loc="(320,710)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp lib="0" loc="(320,670)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(320,1320)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(510,520)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="c"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(320,320)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(320,1030)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN0"/>
</comp>
<comp lib="0" loc="(520,880)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="e"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(540,1210)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="g"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(320,220)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(320,360)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
<comp loc="(520,690)" name="decodeur_4to7_d"/>
<comp lib="0" loc="(320,940)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN2"/>
</comp>
<comp lib="0" loc="(110,330)" name="Pin">
<a name="label" val="In2"/>
</comp>
<comp lib="0" loc="(550,1050)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="f"/>
<a name="labelloc" val="east"/>
</comp>
<comp loc="(550,1050)" name="decodeur_4to7_f"/>
<comp lib="0" loc="(320,440)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN3"/>
</comp>
<comp lib="0" loc="(320,900)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="IN1"/>
</comp>
</circuit>
<circuit name="test_7segments">
<a name="circuit" val="test_7segments"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(670,460)" to="(670,470)"/>
<wire from="(720,440)" to="(720,450)"/>
<wire from="(760,290)" to="(880,290)"/>
<wire from="(670,440)" to="(720,440)"/>
<wire from="(880,440)" to="(880,450)"/>
<wire from="(900,370)" to="(900,380)"/>
<wire from="(350,380)" to="(540,380)"/>
<wire from="(670,250)" to="(670,380)"/>
<wire from="(890,250)" to="(890,380)"/>
<wire from="(810,370)" to="(810,400)"/>
<wire from="(870,440)" to="(870,470)"/>
<wire from="(340,400)" to="(340,480)"/>
<wire from="(880,290)" to="(880,380)"/>
<wire from="(670,500)" to="(780,500)"/>
<wire from="(810,420)" to="(810,500)"/>
<wire from="(350,380)" to="(350,480)"/>
<wire from="(320,440)" to="(540,440)"/>
<wire from="(780,330)" to="(780,500)"/>
<wire from="(780,330)" to="(870,330)"/>
<wire from="(810,370)" to="(900,370)"/>
<wire from="(720,450)" to="(880,450)"/>
<wire from="(330,420)" to="(540,420)"/>
<wire from="(670,480)" to="(760,480)"/>
<wire from="(320,440)" to="(320,480)"/>
<wire from="(670,250)" to="(890,250)"/>
<wire from="(890,440)" to="(890,500)"/>
<wire from="(670,470)" to="(870,470)"/>
<wire from="(760,290)" to="(760,480)"/>
<wire from="(330,420)" to="(330,480)"/>
<wire from="(810,500)" to="(890,500)"/>
<wire from="(340,400)" to="(540,400)"/>
<wire from="(540,380)" to="(550,380)"/>
<wire from="(540,400)" to="(550,400)"/>
<wire from="(540,420)" to="(550,420)"/>
<wire from="(540,440)" to="(550,440)"/>
<wire from="(670,400)" to="(810,400)"/>
<wire from="(670,420)" to="(810,420)"/>
<wire from="(870,330)" to="(870,380)"/>
<comp lib="5" loc="(310,480)" name="DipSwitch">
<a name="labelloc" val="west"/>
<a name="number" val="4"/>
</comp>
<comp lib="5" loc="(870,380)" name="7-Segment Display"/>
<comp loc="(670,380)" name="decodeur_4to7"/>
</circuit>
<circuit name="adder1">
<a name="circuit" val="adder1"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(700,510)" to="(700,520)"/>
<wire from="(460,470)" to="(460,540)"/>
<wire from="(450,430)" to="(450,500)"/>
<wire from="(580,520)" to="(700,520)"/>
<wire from="(460,540)" to="(520,540)"/>
<wire from="(800,400)" to="(800,470)"/>
<wire from="(550,360)" to="(610,360)"/>
<wire from="(550,360)" to="(550,450)"/>
<wire from="(700,510)" to="(740,510)"/>
<wire from="(620,450)" to="(620,470)"/>
<wire from="(450,430)" to="(490,430)"/>
<wire from="(740,490)" to="(740,510)"/>
<wire from="(460,470)" to="(490,470)"/>
<wire from="(580,320)" to="(580,430)"/>
<wire from="(710,450)" to="(740,450)"/>
<wire from="(440,540)" to="(460,540)"/>
<wire from="(580,320)" to="(610,320)"/>
<wire from="(620,470)" to="(650,470)"/>
<wire from="(440,430)" to="(450,430)"/>
<wire from="(440,320)" to="(580,320)"/>
<wire from="(550,450)" to="(620,450)"/>
<wire from="(580,430)" to="(650,430)"/>
<wire from="(800,400)" to="(810,400)"/>
<wire from="(810,400)" to="(820,400)"/>
<wire from="(450,500)" to="(520,500)"/>
<wire from="(670,340)" to="(810,340)"/>
<comp lib="1" loc="(670,340)" name="XOR Gate"/>
<comp lib="0" loc="(810,340)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="S"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(550,450)" name="XOR Gate"/>
<comp lib="0" loc="(440,320)" name="Pin">
<a name="label" val="Cin"/>
</comp>
<comp lib="0" loc="(810,400)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="Cout"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(800,470)" name="NAND Gate"/>
<comp lib="1" loc="(580,520)" name="NAND Gate"/>
<comp lib="0" loc="(440,540)" name="Pin">
<a name="label" val="B"/>
</comp>
<comp lib="0" loc="(440,430)" name="Pin">
<a name="label" val="A"/>
</comp>
<comp lib="1" loc="(710,450)" name="NAND Gate"/>
</circuit>
<circuit name="test_adder1">
<a name="circuit" val="test_adder1"/>
<a name="clabel" val=""/>
<a name="clabelup" val="south"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(300,300)" to="(470,300)"/>
<wire from="(320,340)" to="(320,410)"/>
<wire from="(310,320)" to="(470,320)"/>
<wire from="(690,320)" to="(690,350)"/>
<wire from="(300,300)" to="(300,410)"/>
<wire from="(580,320)" to="(690,320)"/>
<wire from="(690,350)" to="(700,350)"/>
<wire from="(580,300)" to="(720,300)"/>
<wire from="(720,280)" to="(730,280)"/>
<wire from="(310,320)" to="(310,410)"/>
<wire from="(320,340)" to="(470,340)"/>
<wire from="(720,280)" to="(720,300)"/>
<comp lib="5" loc="(700,350)" name="LED">
<a name="label" val="LED_2"/>
</comp>
<comp lib="5" loc="(730,280)" name="LED">
<a name="label" val="LED_1"/>
</comp>
<comp lib="5" loc="(290,410)" name="DipSwitch">
<a name="label" val="DipSwitch_1"/>
<a name="number" val="3"/>
</comp>
<comp loc="(580,300)" name="adder1">
<a name="label" val="adder1_1"/>
</comp>
</circuit>
<circuit name="adder4">
<a name="circuit" val="adder4"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(600,340)" to="(600,380)"/>
<wire from="(600,320)" to="(1040,320)"/>
<wire from="(380,420)" to="(600,420)"/>
<wire from="(380,400)" to="(600,400)"/>
<wire from="(710,400)" to="(710,440)"/>
<wire from="(820,440)" to="(1040,440)"/>
<wire from="(820,460)" to="(1040,460)"/>
<wire from="(380,460)" to="(710,460)"/>
<wire from="(380,480)" to="(710,480)"/>
<wire from="(370,400)" to="(380,400)"/>
<wire from="(490,270)" to="(490,320)"/>
<wire from="(380,340)" to="(490,340)"/>
<wire from="(380,360)" to="(490,360)"/>
<wire from="(490,250)" to="(1040,250)"/>
<wire from="(710,380)" to="(1040,380)"/>
<comp lib="0" loc="(1040,380)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="S2"/>
<a name="labelloc" val="east"/>
</comp>
<comp loc="(710,380)" name="adder1">
<a name="label" val="adder1_3"/>
</comp>
<comp lib="0" loc="(1040,320)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="S1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,250)" name="Pin">
<a name="label" val="Cin"/>
</comp>
<comp lib="0" loc="(1040,250)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="S0"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,480)" name="Pin">
<a name="label" val="B3"/>
</comp>
<comp lib="0" loc="(380,270)" name="Pin">
<a name="label" val="A0"/>
</comp>
<comp lib="0" loc="(380,360)" name="Pin">
<a name="label" val="B1"/>
</comp>
<comp lib="0" loc="(1040,460)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="Cout"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,400)" name="Pin">
<a name="label" val="A2"/>
</comp>
<comp loc="(600,320)" name="adder1">
<a name="label" val="adder1_2"/>
</comp>
<comp lib="0" loc="(380,290)" name="Pin">
<a name="label" val="B0"/>
</comp>
<comp lib="0" loc="(380,420)" name="Pin">
<a name="label" val="B2"/>
</comp>
<comp loc="(820,440)" name="adder1">
<a name="label" val="adder1_4"/>
</comp>
<comp loc="(490,250)" name="adder1">
<a name="label" val="adder1_1"/>
</comp>
<comp lib="0" loc="(1040,440)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="S3"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,460)" name="Pin">
<a name="label" val="A3"/>
</comp>
<comp lib="0" loc="(380,340)" name="Pin">
<a name="label" val="A1"/>
</comp>
</circuit>
<circuit name="substract4_v12">
<a name="circuit" val="substract4_v12"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(430,210)" to="(430,280)"/>
<wire from="(500,380)" to="(550,380)"/>
<wire from="(580,70)" to="(580,200)"/>
<wire from="(380,180)" to="(430,180)"/>
<wire from="(450,90)" to="(570,90)"/>
<wire from="(550,340)" to="(590,340)"/>
<wire from="(550,320)" to="(590,320)"/>
<wire from="(700,260)" to="(740,260)"/>
<wire from="(450,110)" to="(560,110)"/>
<wire from="(430,180)" to="(430,210)"/>
<wire from="(510,260)" to="(510,290)"/>
<wire from="(450,130)" to="(550,130)"/>
<wire from="(570,90)" to="(570,240)"/>
<wire from="(550,340)" to="(550,380)"/>
<wire from="(500,220)" to="(590,220)"/>
<wire from="(560,110)" to="(560,280)"/>
<wire from="(570,240)" to="(590,240)"/>
<wire from="(430,210)" to="(460,210)"/>
<wire from="(430,330)" to="(460,330)"/>
<wire from="(430,370)" to="(460,370)"/>
<wire from="(430,280)" to="(460,280)"/>
<wire from="(430,180)" to="(590,180)"/>
<wire from="(740,310)" to="(770,310)"/>
<wire from="(560,280)" to="(590,280)"/>
<wire from="(430,330)" to="(430,370)"/>
<wire from="(510,300)" to="(510,340)"/>
<wire from="(700,240)" to="(770,240)"/>
<wire from="(700,220)" to="(770,220)"/>
<wire from="(700,200)" to="(770,200)"/>
<wire from="(700,180)" to="(770,180)"/>
<wire from="(500,340)" to="(510,340)"/>
<wire from="(500,290)" to="(510,290)"/>
<wire from="(430,280)" to="(430,330)"/>
<wire from="(380,300)" to="(460,300)"/>
<wire from="(380,230)" to="(460,230)"/>
<wire from="(380,390)" to="(460,390)"/>
<wire from="(380,350)" to="(460,350)"/>
<wire from="(550,130)" to="(550,320)"/>
<wire from="(510,300)" to="(590,300)"/>
<wire from="(510,260)" to="(590,260)"/>
<wire from="(450,70)" to="(580,70)"/>
<wire from="(740,260)" to="(740,310)"/>
<wire from="(580,200)" to="(590,200)"/>
<comp lib="0" loc="(770,220)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="S2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,300)" name="Pin">
<a name="label" val="B1"/>
</comp>
<comp lib="0" loc="(450,130)" name="Pin">
<a name="label" val="A3"/>
</comp>
<comp lib="0" loc="(770,180)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="S0"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(770,200)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="S1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(450,70)" name="Pin">
<a name="label" val="A0"/>
</comp>
<comp lib="0" loc="(770,240)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="S3"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(500,340)" name="XOR Gate">
<a name="size" val="30"/>
</comp>
<comp lib="0" loc="(450,110)" name="Pin">
<a name="label" val="A2"/>
</comp>
<comp lib="0" loc="(770,310)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="Cout"/>
<a name="labelloc" val="east"/>
</comp>
<comp loc="(700,180)" name="adder4">
<a name="label" val="adder4_1"/>
</comp>
<comp lib="1" loc="(500,290)" name="XOR Gate">
<a name="size" val="30"/>
</comp>
<comp lib="0" loc="(380,180)" name="Pin">
<a name="label" val="M"/>
</comp>
<comp lib="1" loc="(500,220)" name="XOR Gate">
<a name="size" val="30"/>
</comp>
<comp lib="1" loc="(500,380)" name="XOR Gate">
<a name="size" val="30"/>
</comp>
<comp lib="0" loc="(380,230)" name="Pin">
<a name="label" val="B0"/>
</comp>
<comp lib="0" loc="(380,350)" name="Pin">
<a name="label" val="B2"/>
</comp>
<comp lib="0" loc="(380,390)" name="Pin">
<a name="label" val="B3"/>
</comp>
<comp lib="0" loc="(450,90)" name="Pin">
<a name="label" val="A1"/>
</comp>
</circuit>
<circuit name="test_substract4_v12">
<a name="circuit" val="test_substract4_v12"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(120,230)" to="(120,360)"/>
<wire from="(100,190)" to="(290,190)"/>
<wire from="(140,290)" to="(140,360)"/>
<wire from="(170,250)" to="(290,250)"/>
<wire from="(110,210)" to="(290,210)"/>
<wire from="(120,230)" to="(290,230)"/>
<wire from="(110,210)" to="(110,360)"/>
<wire from="(130,270)" to="(130,360)"/>
<wire from="(160,330)" to="(160,360)"/>
<wire from="(130,270)" to="(290,270)"/>
<wire from="(140,290)" to="(290,290)"/>
<wire from="(100,190)" to="(100,360)"/>
<wire from="(170,250)" to="(170,360)"/>
<wire from="(150,310)" to="(150,360)"/>
<wire from="(290,290)" to="(300,290)"/>
<wire from="(290,210)" to="(300,210)"/>
<wire from="(290,310)" to="(300,310)"/>
<wire from="(290,230)" to="(300,230)"/>
<wire from="(290,170)" to="(300,170)"/>
<wire from="(290,270)" to="(300,270)"/>
<wire from="(290,330)" to="(300,330)"/>
<wire from="(290,190)" to="(300,190)"/>
<wire from="(290,250)" to="(300,250)"/>
<wire from="(150,310)" to="(290,310)"/>
<wire from="(90,170)" to="(290,170)"/>
<wire from="(160,330)" to="(290,330)"/>
<wire from="(90,170)" to="(90,360)"/>
<comp loc="(430,170)" name="substract4_v12">
<a name="label" val="substract4_v1_1"/>
</comp>
<comp lib="5" loc="(430,170)" name="LED">
<a name="label" val="S0"/>
</comp>
<comp lib="5" loc="(430,250)" name="LED">
<a name="label" val="COUT"/>
</comp>
<comp lib="5" loc="(80,360)" name="DipSwitch">
<a name="label" val="SWITCH"/>
<a name="number" val="9"/>
</comp>
<comp lib="5" loc="(430,230)" name="LED">
<a name="label" val="S3"/>
</comp>
<comp lib="5" loc="(430,190)" name="LED">
<a name="label" val="S1"/>
</comp>
<comp lib="5" loc="(430,210)" name="LED">
<a name="label" val="S2"/>
</comp>
</circuit>
<circuit name="test_adder4bits_v2">
<a name="circuit" val="test_adder4bits_v2"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif bold 16"/>
<a name="circuitnamedbox" val="true"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(540,200)" to="(540,210)"/>
<wire from="(490,160)" to="(550,160)"/>
<wire from="(950,270)" to="(950,280)"/>
<wire from="(930,210)" to="(930,220)"/>
<wire from="(1040,340)" to="(1040,350)"/>
<wire from="(1040,180)" to="(1040,190)"/>
<wire from="(990,210)" to="(990,220)"/>
<wire from="(660,320)" to="(660,460)"/>
<wire from="(680,280)" to="(680,420)"/>
<wire from="(720,260)" to="(720,400)"/>
<wire from="(960,210)" to="(960,280)"/>
<wire from="(910,340)" to="(910,410)"/>
<wire from="(150,340)" to="(330,340)"/>
<wire from="(1090,350)" to="(1090,380)"/>
<wire from="(520,200)" to="(520,220)"/>
<wire from="(160,320)" to="(330,320)"/>
<wire from="(1060,190)" to="(1060,260)"/>
<wire from="(490,160)" to="(490,240)"/>
<wire from="(1040,260)" to="(1040,280)"/>
<wire from="(90,180)" to="(90,400)"/>
<wire from="(470,180)" to="(510,180)"/>
<wire from="(510,240)" to="(550,240)"/>
<wire from="(500,210)" to="(540,210)"/>
<wire from="(60,240)" to="(60,400)"/>
<wire from="(170,300)" to="(330,300)"/>
<wire from="(520,220)" to="(550,220)"/>
<wire from="(170,300)" to="(170,400)"/>
<wire from="(960,340)" to="(960,380)"/>
<wire from="(1020,340)" to="(1020,380)"/>
<wire from="(180,280)" to="(330,280)"/>
<wire from="(470,240)" to="(490,240)"/>
<wire from="(1010,180)" to="(1010,280)"/>
<wire from="(1030,370)" to="(1060,370)"/>
<wire from="(920,220)" to="(920,280)"/>
<wire from="(980,220)" to="(980,280)"/>
<wire from="(60,240)" to="(330,240)"/>
<wire from="(980,220)" to="(990,220)"/>
<wire from="(1100,180)" to="(1100,220)"/>
<wire from="(960,380)" to="(970,380)"/>
<wire from="(1030,190)" to="(1040,190)"/>
<wire from="(660,300)" to="(670,300)"/>
<wire from="(1050,280)" to="(1070,280)"/>
<wire from="(940,220)" to="(940,270)"/>
<wire from="(70,220)" to="(330,220)"/>
<wire from="(540,200)" to="(550,200)"/>
<wire from="(1040,350)" to="(1090,350)"/>
<wire from="(670,440)" to="(720,440)"/>
<wire from="(950,340)" to="(950,350)"/>
<wire from="(670,300)" to="(670,440)"/>
<wire from="(80,200)" to="(330,200)"/>
<wire from="(900,210)" to="(900,220)"/>
<wire from="(80,200)" to="(80,400)"/>
<wire from="(470,200)" to="(520,200)"/>
<wire from="(1000,340)" to="(1000,410)"/>
<wire from="(500,210)" to="(500,220)"/>
<wire from="(660,180)" to="(720,180)"/>
<wire from="(660,200)" to="(720,200)"/>
<wire from="(660,220)" to="(720,220)"/>
<wire from="(660,240)" to="(720,240)"/>
<wire from="(660,460)" to="(720,460)"/>
<wire from="(660,260)" to="(720,260)"/>
<wire from="(970,380)" to="(970,410)"/>
<wire from="(90,180)" to="(330,180)"/>
<wire from="(1030,340)" to="(1030,370)"/>
<wire from="(160,320)" to="(160,400)"/>
<wire from="(680,420)" to="(720,420)"/>
<wire from="(1030,190)" to="(1030,280)"/>
<wire from="(1070,180)" to="(1070,190)"/>
<wire from="(1060,370)" to="(1060,380)"/>
<wire from="(550,160)" to="(550,180)"/>
<wire from="(1050,340)" to="(1130,340)"/>
<wire from="(900,220)" to="(920,220)"/>
<wire from="(920,280)" to="(940,280)"/>
<wire from="(1040,260)" to="(1060,260)"/>
<wire from="(470,220)" to="(500,220)"/>
<wire from="(660,280)" to="(680,280)"/>
<wire from="(1060,190)" to="(1070,190)"/>
<wire from="(1070,220)" to="(1070,280)"/>
<wire from="(970,340)" to="(1000,340)"/>
<wire from="(910,340)" to="(940,340)"/>
<wire from="(1070,220)" to="(1100,220)"/>
<wire from="(940,350)" to="(940,410)"/>
<wire from="(250,260)" to="(330,260)"/>
<wire from="(70,220)" to="(70,400)"/>
<wire from="(970,280)" to="(980,280)"/>
<wire from="(940,270)" to="(950,270)"/>
<wire from="(940,350)" to="(950,350)"/>
<wire from="(930,220)" to="(940,220)"/>
<wire from="(1010,280)" to="(1020,280)"/>
<wire from="(1130,340)" to="(1130,380)"/>
<wire from="(180,280)" to="(180,400)"/>
<wire from="(510,180)" to="(510,240)"/>
<wire from="(150,340)" to="(150,400)"/>
<comp lib="0" loc="(1010,180)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Bg"/>
</comp>
<comp lib="0" loc="(850,480)" name="Tunnel">
<a name="label" val="Ae"/>
</comp>
<comp lib="0" loc="(940,410)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Ad"/>
</comp>
<comp lib="0" loc="(250,260)" name="Ground"/>
<comp lib="0" loc="(1070,180)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Ba"/>
</comp>
<comp lib="0" loc="(990,210)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Ab"/>
</comp>
<comp lib="0" loc="(850,280)" name="Tunnel">
<a name="label" val="Bf"/>
</comp>
<comp lib="0" loc="(1060,380)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Bd"/>
</comp>
<comp lib="0" loc="(1130,380)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="DEP"/>
</comp>
<comp lib="0" loc="(1090,380)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Bc"/>
</comp>
<comp lib="0" loc="(850,420)" name="Tunnel">
<a name="label" val="Ab"/>
</comp>
<comp lib="0" loc="(930,210)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Af"/>
</comp>
<comp loc="(470,180)" name="substract4_v12"/>
<comp loc="(850,400)" name="decodeur_4to7"/>
<comp lib="0" loc="(850,180)" name="Tunnel">
<a name="label" val="Ba"/>
</comp>
<comp loc="(850,180)" name="decodeur_4to7"/>
<comp lib="5" loc="(140,400)" name="DipSwitch">
<a name="label" val="B"/>
<a name="labelvisible" val="true"/>
<a name="number" val="4"/>
</comp>
<comp lib="0" loc="(850,460)" name="Tunnel">
<a name="label" val="Ad"/>
</comp>
<comp lib="0" loc="(850,200)" name="Tunnel">
<a name="label" val="Bb"/>
</comp>
<comp lib="0" loc="(900,210)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Ag"/>
</comp>
<comp lib="0" loc="(470,260)" name="Tunnel">
<a name="label" val="DEP"/>
</comp>
<comp lib="0" loc="(1000,410)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="DEP"/>
</comp>
<comp lib="5" loc="(50,400)" name="DipSwitch">
<a name="label" val="A"/>
<a name="labelvisible" val="true"/>
<a name="number" val="4"/>
</comp>
<comp lib="0" loc="(850,440)" name="Tunnel">
<a name="label" val="Ac"/>
</comp>
<comp loc="(660,180)" name="BN_to_BCD">
<a name="labelloc" val="west"/>
</comp>
<comp lib="0" loc="(850,220)" name="Tunnel">
<a name="label" val="Bc"/>
</comp>
<comp lib="0" loc="(850,240)" name="Tunnel">
<a name="label" val="Bd"/>
</comp>
<comp lib="0" loc="(960,210)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Aa"/>
</comp>
<comp lib="0" loc="(910,410)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Ae"/>
</comp>
<comp lib="0" loc="(1040,180)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Bf"/>
</comp>
<comp lib="0" loc="(850,400)" name="Tunnel">
<a name="label" val="Aa"/>
</comp>
<comp lib="0" loc="(850,300)" name="Tunnel">
<a name="label" val="Bg"/>
</comp>
<comp lib="5" loc="(1020,280)" name="7-Segment Display"/>
<comp lib="5" loc="(940,280)" name="7-Segment Display"/>
<comp lib="0" loc="(970,410)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Ac"/>
</comp>
<comp lib="0" loc="(1020,380)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="Be"/>
</comp>
<comp lib="0" loc="(850,500)" name="Tunnel">
<a name="label" val="Af"/>
</comp>
<comp lib="0" loc="(850,520)" name="Tunnel">
<a name="label" val="Ag"/>
</comp>
<comp lib="0" loc="(850,260)" name="Tunnel">
<a name="label" val="Be"/>
</comp>
<comp lib="0" loc="(1100,180)" name="Tunnel">
<a name="facing" val="south"/>
<a name="label" val="Bb"/>
</comp>
</circuit>
</project>